Nano Hash - криптовалюты, майнинг, программирование

Почему этот код Verilog не компилируется?

Часть, которая вызывает проблемы, это c[1] = p[0] + g[0] & c0;. Что с этим не так?

module CLA_gen(p, g, c0, c);
input [3:0] p;
input [3:0] g;
input c0;
output reg [4:1] c;
begin
c[1] = p[0] + g[0] & c0;
end
endmodule
08.03.2011

  • Какие проблемы это вызывает? Какие ошибки компилятора вы получаете? 09.03.2011
  • Согласен, вообще хороший тон включить вывод ошибок. 09.03.2011

Ответы:


1

Вам не хватает вашего постоянного блока и его списка чувствительности.

always @(*)
  c[1] = p[0] + g[0] & c0;

В коде, который вы разместили выше, вам не обязательно нужно начало/конец, поскольку у вас есть только одна строка. Но добавить его туда не помешает.

08.03.2011

2

Скорее всего, вы хотите изменить:

begin

to:

always @* begin

Каждый begin/end должен быть частью другой конструкции, такой как always, initial и т. д.

08.03.2011

3

Удалите начало и конец, объявите c только как выход

следить за этим

module CLA_gen(p, g, c0, c);
input [3:0] p;
input [3:0] g;
input c0;
output [4:1] c;
assign c[1] = p[0] + g[0] & c0;
endmodule
02.01.2014

4

Возможно, вам придется использовать ‹= вместо = .

08.03.2011
  • Мы не знаем, что он моделирует в данный момент, поэтому пока не можем судить об этом. 09.03.2011
  • Новые материалы

    Кластеризация: более глубокий взгляд
    Кластеризация — это метод обучения без учителя, в котором мы пытаемся найти группы в наборе данных на основе некоторых известных или неизвестных свойств, которые могут существовать. Независимо от..

    Как написать эффективное резюме
    Предложения по дизайну и макету, чтобы представить себя профессионально Вам не позвонили на собеседование после того, как вы несколько раз подали заявку на работу своей мечты? У вас может..

    Частный метод Python: улучшение инкапсуляции и безопасности
    Введение Python — универсальный и мощный язык программирования, известный своей простотой и удобством использования. Одной из ключевых особенностей, отличающих Python от других языков, является..

    Как я автоматизирую тестирование с помощью Jest
    Шутка для победы, когда дело касается автоматизации тестирования Одной очень важной частью разработки программного обеспечения является автоматизация тестирования, поскольку она создает..

    Работа с векторными символическими архитектурами, часть 4 (искусственный интеллект)
    Hyperseed: неконтролируемое обучение с векторными символическими архитектурами (arXiv) Автор: Евгений Осипов , Сачин Кахавала , Диланта Хапутантри , Тимал Кемпития , Дасвин Де Сильва ,..

    Понимание расстояния Вассерштейна: мощная метрика в машинном обучении
    В обширной области машинного обучения часто возникает необходимость сравнивать и измерять различия между распределениями вероятностей. Традиционные метрики расстояния, такие как евклидово..

    Обеспечение масштабируемости LLM: облачный анализ с помощью AWS Fargate и Copilot
    В динамичной области искусственного интеллекта все большее распространение получают модели больших языков (LLM). Они жизненно важны для различных приложений, таких как интеллектуальные..