Мне нужно прочитать данные из определенного файла VHDL (.vhd). Файл такой:
entity ROM is
port ( address : in std_logic_vector(3 downto 0);
data : out std_logic_vector(7 downto 0) );
end entity ROM;
architecture behavioral of ROM is
type ROM is array ( 0 to 2**4 - 1) of std_logic_vector(7 downto 0);
constant my_ROM : ROM := (
0 => "00000000",
1 => "00000001");
begin
data <= my_ROM(to_integer(unsigned(address)));
end architecture behavioral;
Я знаю, что был опубликован аналогичный вопрос: Как читать данные из rom_type в VHDL?, но я думаю Мне все еще нужна помощь. Допустим, у меня есть другой файл .vhd. Как мне получить данные, хранящиеся внутри этого объекта ПЗУ?
somelabel : entity work.ROM
вместоsomelabel : ROM
, благодаря чему можно пропустить утомительное объявление компонента в пакете или архитектуре. 03.09.2015